Генератор Verilog для КИХ-фильтров (простой метод)

Выберите размерность чисел (от 4 до 24 бит):
Выберите длину вектора (от 4 до 1024):
Выдавать округленное значение до размерности входа:
Схема с конвеерезированным сумматором:


Описание:


На главную