library (NangateOpenCellLibrary) { /* Documentation Attributes */ date : "Fri 17 Jul 2009, 20:52:32"; revision : "revision 1.0"; comment : "Copyright (c) 2004-2008 Nangate Inc. All Rights Reserved."; /* General Attributes */ technology (cmos); delay_model : table_lookup; in_place_swap_mode : match_footprint; /* Units Attributes */ time_unit : "1ns"; leakage_power_unit : "1pW"; voltage_unit : "1V"; current_unit : "1uA"; pulling_resistance_unit : "1kohm"; capacitive_load_unit (1,pf); /* Operation Conditions */ nom_process : 1.00; nom_temperature : 25.00; nom_voltage : 1.10; define(process_corner, operating_conditions, string); operating_conditions (typical) { process_corner : "TypTyp"; process : 1.00; voltage : 1.10; temperature : 25.00; tree_type : balanced_tree; } default_operating_conditions : typical; /* Threshold Definitions */ slew_lower_threshold_pct_fall : 30.00 ; slew_lower_threshold_pct_rise : 30.00 ; slew_upper_threshold_pct_fall : 70.00 ; slew_upper_threshold_pct_rise : 70.00 ; slew_derate_from_library : 1.00 ; input_threshold_pct_fall : 50.00 ; input_threshold_pct_rise : 50.00 ; output_threshold_pct_fall : 50.00 ; output_threshold_pct_rise : 50.00 ; default_leakage_power_density : 0.00 ; default_cell_leakage_power : 0.00 ; /* Default Pin Attributes */ default_inout_pin_cap : 1.0; default_input_pin_cap : 1.0; default_output_pin_cap : 0.0; default_fanout_load : 1.0; /* Wire load tables */ wire_load("1K_hvratio_1_4") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 7.559700e-02; slope : 5.000000; fanout_length( 1, 1.3207 ); fanout_length( 2, 2.9813 ); fanout_length( 3, 5.1135 ); fanout_length( 4, 7.6639 ); fanout_length( 5, 10.0334 ); fanout_length( 6, 12.2296 ); fanout_length( 8, 19.3185 ); } wire_load("1K_hvratio_1_2") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 7.620661e-02; slope : 5.000000; fanout_length( 1, 1.3216 ); fanout_length( 2, 2.8855 ); fanout_length( 3, 4.6810 ); fanout_length( 4, 6.7976 ); fanout_length( 5, 9.4037 ); fanout_length( 6, 13.0170 ); fanout_length( 8, 24.1720 ); } wire_load("1K_hvratio_1_1") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 7.650198e-02; slope : 6.283688; fanout_length( 1, 1.3446 ); fanout_length( 2, 2.8263 ); fanout_length( 3, 4.7581 ); fanout_length( 4, 7.4080 ); fanout_length( 5, 10.9381 ); fanout_length( 6, 15.7314 ); fanout_length( 8, 29.7891 ); } wire_load("3K_hvratio_1_4") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 7.994096e-02; slope : 5.000000; fanout_length( 1, 1.8234 ); fanout_length( 2, 4.5256 ); fanout_length( 3, 7.5342 ); fanout_length( 4, 10.6237 ); fanout_length( 5, 13.5401 ); fanout_length( 6, 16.3750 ); fanout_length( 7, 18.6686 ); fanout_length( 8, 19.4348 ); fanout_length( 10, 20.9672 ); } wire_load("3K_hvratio_1_2") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 8.004074e-02; slope : 5.000000; fanout_length( 1, 1.6615 ); fanout_length( 2, 3.9827 ); fanout_length( 3, 6.6386 ); fanout_length( 4, 9.6287 ); fanout_length( 5, 12.8485 ); fanout_length( 6, 16.4145 ); fanout_length( 7, 20.0747 ); fanout_length( 8, 22.6325 ); fanout_length( 10, 21.7173 ); } wire_load("3K_hvratio_1_1") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 8.118831e-02; slope : 5.000000; fanout_length( 1, 1.5771 ); fanout_length( 2, 3.9330 ); fanout_length( 3, 6.6217 ); fanout_length( 4, 9.7638 ); fanout_length( 5, 13.5526 ); fanout_length( 6, 18.1322 ); fanout_length( 7, 22.5871 ); fanout_length( 8, 25.1074 ); fanout_length( 10, 30.1480 ); } wire_load("5K_hvratio_1_4") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 8.035980e-02; slope : 5.000000; fanout_length( 1, 2.0449 ); fanout_length( 2, 4.4094 ); fanout_length( 3, 7.2134 ); fanout_length( 4, 10.4927 ); fanout_length( 5, 13.9420 ); fanout_length( 6, 18.0039 ); fanout_length( 7, 23.9278 ); fanout_length( 8, 30.8475 ); fanout_length( 9, 34.9441 ); fanout_length( 11, 43.1373 ); } wire_load("5K_hvratio_1_2") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 8.023199e-02; slope : 5.000000; fanout_length( 1, 1.6706 ); fanout_length( 2, 3.7951 ); fanout_length( 3, 6.2856 ); fanout_length( 4, 9.1309 ); fanout_length( 5, 12.1420 ); fanout_length( 6, 15.6918 ); fanout_length( 7, 20.1043 ); fanout_length( 8, 24.2827 ); fanout_length( 9, 27.3445 ); fanout_length( 11, 35.3421 ); } wire_load("5K_hvratio_1_1") { capacitance : 1.774000e-04; resistance : 3.571429e-03; area : 8.159052e-02; slope : 5.000000; fanout_length( 1, 1.7460 ); fanout_length( 2, 3.9394 ); fanout_length( 3, 6.4626 ); fanout_length( 4, 9.2201 ); fanout_length( 5, 11.9123 ); fanout_length( 6, 14.8358 ); fanout_length( 7, 18.6155 ); fanout_length( 8, 22.6727 ); fanout_length( 9, 25.4842 ); fanout_length( 11, 27.0320 ); } default_wire_load : "5K_hvratio_1_1" ; define(drive_strength, cell, float); power_lut_template (Hidden_Power_clock) { variable_1 : input_transition_time; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") } power_lut_template (Hidden_Power_data) { variable_1 : input_transition_time; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") } power_lut_template (Hidden_Power_set_reset) { variable_1 : input_transition_time; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") } lu_table_template (Hold) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") } power_lut_template (Power_clock_X1) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") index_2 ("0.000400,0.000800,0.001600,0.003200,0.006400,0.012800,0.025600") } power_lut_template (Power_clock_X2) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") index_2 ("0.000400,0.001600,0.003200,0.006400,0.012800,0.025600,0.051200") } power_lut_template (Power_clock_X4) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") index_2 ("0.000400,0.003200,0.006400,0.012800,0.025600,0.051200,0.102400") } power_lut_template (Power_clock_X8) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") index_2 ("0.000400,0.006400,0.012800,0.025600,0.051200,0.102400,0.204800") } power_lut_template (Power_data_X1) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.000800,0.001600,0.003200,0.006400,0.012800,0.025600") } power_lut_template (Power_data_X16) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.012800,0.025600,0.051200,0.102400,0.204800,0.409600") } power_lut_template (Power_data_X2) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.001600,0.003200,0.006400,0.012800,0.025600,0.051200") } power_lut_template (Power_data_X3) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.002400,0.004800,0.009600,0.019200,0.038400,0.076800") } power_lut_template (Power_data_X32) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.025600,0.051200,0.102400,0.204800,0.409600,0.819200") } power_lut_template (Power_data_X4) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.003200,0.006400,0.012800,0.025600,0.051200,0.102400") } power_lut_template (Power_data_X8) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.006400,0.012800,0.025600,0.051200,0.102400,0.204800") } power_lut_template (Power_set_reset_X1) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.000800,0.001600,0.003200,0.006400,0.012800,0.025600") } power_lut_template (Power_set_reset_X2) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.001600,0.003200,0.006400,0.012800,0.025600,0.051200") } power_lut_template (Power_set_reset_X4) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.003200,0.006400,0.012800,0.025600,0.051200,0.102400") } power_lut_template (Power_set_reset_X8) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.006400,0.012800,0.025600,0.051200,0.102400,0.204800") } lu_table_template (Pulse_Width) { variable_1 : related_pin_transition; index_1 ("0.005000,0.375000,0.750000") } lu_table_template (Recovery) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") } lu_table_template (Removal) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") } lu_table_template (Setup) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") } lu_table_template (Timing_clock_X1) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") index_2 ("0.000400,0.000800,0.001600,0.003200,0.006400,0.012800,0.025600") } lu_table_template (Timing_clock_X2) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") index_2 ("0.000400,0.001600,0.003200,0.006400,0.012800,0.025600,0.051200") } lu_table_template (Timing_clock_X4) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") index_2 ("0.000400,0.003200,0.006400,0.012800,0.025600,0.051200,0.102400") } lu_table_template (Timing_clock_X8) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.005000,0.014062,0.028125,0.056250,0.112500,0.225000,0.450000") index_2 ("0.000400,0.006400,0.012800,0.025600,0.051200,0.102400,0.204800") } lu_table_template (Timing_data_X1) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.000800,0.001600,0.003200,0.006400,0.012800,0.025600") } lu_table_template (Timing_data_X16) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.012800,0.025600,0.051200,0.102400,0.204800,0.409600") } lu_table_template (Timing_data_X2) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.001600,0.003200,0.006400,0.012800,0.025600,0.051200") } lu_table_template (Timing_data_X3) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.002400,0.004800,0.009600,0.019200,0.038400,0.076800") } lu_table_template (Timing_data_X32) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.025600,0.051200,0.102400,0.204800,0.409600,0.819200") } lu_table_template (Timing_data_X4) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.003200,0.006400,0.012800,0.025600,0.051200,0.102400") } lu_table_template (Timing_data_X8) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.006400,0.012800,0.025600,0.051200,0.102400,0.204800") } lu_table_template (Timing_set_reset_X1) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.000800,0.001600,0.003200,0.006400,0.012800,0.025600") } lu_table_template (Timing_set_reset_X2) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.001600,0.003200,0.006400,0.012800,0.025600,0.051200") } lu_table_template (Timing_set_reset_X4) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.003200,0.006400,0.012800,0.025600,0.051200,0.102400") } lu_table_template (Timing_set_reset_X8) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") index_2 ("0.000400,0.006400,0.012800,0.025600,0.051200,0.102400,0.204800") } lu_table_template (Tristate_Disable) { variable_1 : input_net_transition; index_1 ("0.007500,0.018750,0.037500,0.075000,0.150000,0.300000,0.600000") } /****************************************************************************************** Module : AND2_X4 Cell Description : Combinational cell (AND2_X4) with drive strength X4 *******************************************************************************************/ cell (AND2_X4) { drive_strength : 4; area : 1.064000; cell_leakage_power : 19176.797500; leakage_power () { when : "!A1 & !A2"; value : 17059.270000; } leakage_power () { when : "!A1 & A2"; value : 23381.930000; } leakage_power () { when : "A1 & !A2"; value : 17246.610000; } leakage_power () { when : "A1 & A2"; value : 19019.380000; } pin (A1) { direction : input; capacitance : 0.000520; fall_capacitance : 0.000510; rise_capacitance : 0.000529; fall_capacitance_range (0.000468, 0.000572); rise_capacitance_range (0.000471, 0.000624); max_transition : 0.600000; } pin (A2) { direction : input; capacitance : 0.000556; fall_capacitance : 0.000537; rise_capacitance : 0.000575; fall_capacitance_range (0.000506, 0.000587); rise_capacitance_range (0.000517, 0.000647); max_transition : 0.600000; } pin (ZN) { direction : output; max_capacitance : 0.102400; max_transition : 0.600000; function : "(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_data_X4) { values ("0.044700,0.052742,0.059894,0.071741,0.091924,0.128658,0.200050", \ "0.050287,0.058322,0.065467,0.077318,0.097503,0.134239,0.205635", \ "0.060440,0.068427,0.075562,0.087405,0.107595,0.144337,0.215741", \ "0.078908,0.087327,0.094715,0.106759,0.127054,0.163800,0.235158", \ "0.104884,0.114420,0.122796,0.136163,0.157698,0.195050,0.266280", \ "0.143220,0.154175,0.163849,0.179212,0.203130,0.242289,0.314050", \ "0.204776,0.217439,0.228640,0.246498,0.274764,0.318562,0.393159"); } cell_rise(Timing_data_X4) { values ("0.034901,0.044961,0.054819,0.073254,0.109225,0.180864,0.323995", \ "0.039537,0.049576,0.059412,0.077826,0.113787,0.185437,0.328582", \ "0.046713,0.056761,0.066552,0.084889,0.120788,0.192398,0.335542", \ "0.055043,0.065627,0.075554,0.093909,0.129681,0.201151,0.344239", \ "0.062014,0.073983,0.084532,0.102990,0.138542,0.209854,0.352732", \ "0.063268,0.077114,0.089572,0.109513,0.145193,0.216108,0.358715", \ "0.049832,0.065685,0.080402,0.104516,0.142904,0.214410,0.357202"); } fall_transition(Timing_data_X4) { values ("0.008992,0.012625,0.016338,0.023325,0.037216,0.066336,0.127340", \ "0.008991,0.012631,0.016343,0.023329,0.037218,0.066338,0.127340", \ "0.009041,0.012688,0.016394,0.023364,0.037236,0.066341,0.127340", \ "0.010967,0.014213,0.017568,0.024094,0.037594,0.066453,0.127353", \ "0.014641,0.017981,0.021323,0.027549,0.040069,0.067498,0.127480", \ "0.019985,0.023526,0.027063,0.033330,0.045130,0.070683,0.128649", \ "0.028536,0.032392,0.036349,0.043279,0.055240,0.078903,0.133059"); } rise_transition(Timing_data_X4) { values ("0.008754,0.015529,0.023276,0.039460,0.073066,0.141022,0.277038", \ "0.008754,0.015537,0.023284,0.039454,0.073068,0.141045,0.276948", \ "0.009172,0.015771,0.023417,0.039507,0.073047,0.141006,0.276839", \ "0.010777,0.016900,0.024170,0.039905,0.073142,0.141067,0.276986", \ "0.013639,0.019719,0.026119,0.040742,0.073514,0.141193,0.277216", \ "0.018440,0.025188,0.031503,0.044072,0.074729,0.141711,0.277443", \ "0.026753,0.033852,0.041428,0.053867,0.080109,0.144517,0.278910"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_data_X4) { values ("0.051496,0.059770,0.067095,0.079180,0.099633,0.136616,0.208191", \ "0.057125,0.065389,0.072712,0.084794,0.105249,0.142235,0.213814", \ "0.067255,0.075493,0.082804,0.094882,0.115342,0.152330,0.223916", \ "0.087292,0.095680,0.103045,0.115169,0.135650,0.172635,0.244200", \ "0.116593,0.126140,0.134447,0.147718,0.169179,0.206562,0.278003", \ "0.159623,0.170477,0.179995,0.195057,0.218610,0.257542,0.329383", \ "0.228632,0.241054,0.252012,0.269140,0.296068,0.338236,0.411606"); } cell_rise(Timing_data_X4) { values ("0.038169,0.048230,0.058093,0.076531,0.112510,0.184142,0.327288", \ "0.041969,0.052020,0.061871,0.080303,0.116277,0.187899,0.331064", \ "0.047529,0.057607,0.067438,0.085832,0.121775,0.193411,0.336555", \ "0.055057,0.065512,0.075491,0.093937,0.129822,0.201386,0.344537", \ "0.062239,0.073657,0.084084,0.102720,0.138528,0.209991,0.353012", \ "0.063543,0.076740,0.088551,0.108245,0.144412,0.215802,0.358607", \ "0.048952,0.064210,0.078347,0.101150,0.139352,0.211849,0.355437"); } fall_transition(Timing_data_X4) { values ("0.009663,0.013233,0.016913,0.023863,0.037694,0.066710,0.127601", \ "0.009665,0.013239,0.016917,0.023865,0.037696,0.066711,0.127601", \ "0.009677,0.013260,0.016937,0.023882,0.037704,0.066711,0.127603", \ "0.010912,0.014108,0.017532,0.024254,0.037895,0.066777,0.127612", \ "0.014597,0.017795,0.021075,0.027295,0.039930,0.067562,0.127719", \ "0.019607,0.023002,0.026387,0.032538,0.044422,0.070347,0.128703", \ "0.027096,0.030668,0.034234,0.040730,0.052332,0.076223,0.131446"); } rise_transition(Timing_data_X4) { values ("0.008752,0.015530,0.023277,0.039461,0.073051,0.141046,0.276872", \ "0.008754,0.015530,0.023279,0.039453,0.073045,0.141054,0.276998", \ "0.008964,0.015657,0.023350,0.039485,0.073070,0.141056,0.277112", \ "0.009815,0.016385,0.023877,0.039743,0.073120,0.141044,0.277042", \ "0.011800,0.018225,0.025245,0.040456,0.073377,0.141146,0.277193", \ "0.015414,0.022366,0.029038,0.042913,0.074543,0.141605,0.277260", \ "0.021477,0.029142,0.036723,0.049821,0.078662,0.144437,0.278773"); } } internal_power () { related_pin : "A1"; fall_power(Power_data_X4) { values ("0.003375,0.003227,0.003200,0.003195,0.003196,0.003197,0.003196", \ "0.003371,0.003219,0.003190,0.003185,0.003188,0.003190,0.003190", \ "0.003427,0.003260,0.003223,0.003214,0.003216,0.003220,0.003222", \ "0.003750,0.003493,0.003412,0.003370,0.003352,0.003346,0.003344", \ "0.004475,0.004061,0.003885,0.003770,0.003707,0.003674,0.003658", \ "0.005859,0.005272,0.004951,0.004698,0.004543,0.004455,0.004406", \ "0.008610,0.007850,0.007337,0.006853,0.006491,0.006272,0.006144"); } rise_power(Power_data_X4) { values ("0.002496,0.002478,0.002487,0.002512,0.002549,0.002588,0.002643", \ "0.002504,0.002481,0.002484,0.002503,0.002539,0.002579,0.002636", \ "0.002602,0.002549,0.002532,0.002532,0.002553,0.002592,0.002651", \ "0.002842,0.002739,0.002695,0.002663,0.002655,0.002675,0.002727", \ "0.003407,0.003219,0.003133,0.003052,0.002993,0.002973,0.002994", \ "0.004737,0.004377,0.004217,0.004058,0.003915,0.003813,0.003775", \ "0.007596,0.006917,0.006634,0.006367,0.006080,0.005831,0.005660"); } } internal_power () { related_pin : "A2"; fall_power(Power_data_X4) { values ("0.003946,0.003761,0.003717,0.003702,0.003700,0.003699,0.003700", \ "0.003946,0.003759,0.003713,0.003698,0.003696,0.003697,0.003698", \ "0.003992,0.003796,0.003745,0.003726,0.003725,0.003727,0.003730", \ "0.004270,0.004010,0.003925,0.003877,0.003856,0.003850,0.003850", \ "0.004997,0.004583,0.004405,0.004284,0.004215,0.004180,0.004164", \ "0.006350,0.005762,0.005447,0.005198,0.005039,0.004946,0.004897", \ "0.008890,0.008132,0.007645,0.007190,0.006858,0.006648,0.006523"); } rise_power(Power_data_X4) { values ("0.002496,0.002479,0.002488,0.002514,0.002550,0.002589,0.002645", \ "0.002490,0.002470,0.002477,0.002501,0.002537,0.002579,0.002633", \ "0.002519,0.002484,0.002481,0.002495,0.002526,0.002568,0.002625", \ "0.002637,0.002571,0.002546,0.002539,0.002555,0.002590,0.002646", \ "0.002991,0.002866,0.002810,0.002765,0.002743,0.002755,0.002795", \ "0.003951,0.003709,0.003601,0.003493,0.003407,0.003362,0.003369", \ "0.006170,0.005694,0.005494,0.005314,0.005118,0.004966,0.004885"); } } } } /****************************************************************************************** Module : NAND2_X4 Cell Description : Combinational cell (NAND2_X4) with drive strength X4 *******************************************************************************************/ cell (NAND2_X4) { drive_strength : 4; area : 1.330000; cell_leakage_power : 20670.386000; leakage_power () { when : "!A1 & !A2"; value : 4237.940000; } leakage_power () { when : "!A1 & A2"; value : 30585.340000; } leakage_power () { when : "A1 & !A2"; value : 5013.784000; } leakage_power () { when : "A1 & A2"; value : 42844.480000; } pin (A1) { direction : input; capacitance : 0.001673; fall_capacitance : 0.001653; rise_capacitance : 0.001694; fall_capacitance_range (0.001512, 0.002099); rise_capacitance_range (0.001482, 0.002154); max_transition : 0.600000; } pin (A2) { direction : input; capacitance : 0.001962; fall_capacitance : 0.001888; rise_capacitance : 0.002035; fall_capacitance_range (0.001769, 0.002259); rise_capacitance_range (0.001824, 0.002365); max_transition : 0.600000; } pin (ZN) { direction : output; max_capacitance : 0.102400; max_transition : 0.600000; function : "!(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_data_X4) { values ("0.009863,0.014539,0.019875,0.030514,0.051754,0.094207,0.179094", \ "0.012597,0.018848,0.024519,0.035070,0.056260,0.098683,0.183548", \ "0.014082,0.022586,0.030347,0.042873,0.063993,0.106268,0.191056", \ "0.013775,0.025182,0.035647,0.052694,0.079234,0.121863,0.206293", \ "0.008953,0.024087,0.038114,0.060984,0.096989,0.151783,0.237610", \ "-0.006330,0.013734,0.032347,0.062905,0.111144,0.185332,0.296771", \ "-0.043345,-0.017461,0.006973,0.047578,0.111855,0.211222,0.361936"); } cell_rise(Timing_data_X4) { values ("0.014949,0.023127,0.032395,0.050784,0.087333,0.160221,0.305824", \ "0.020807,0.028929,0.038010,0.056267,0.092790,0.165689,0.311305", \ "0.027726,0.038505,0.048250,0.066125,0.102333,0.175052,0.320622", \ "0.037914,0.052040,0.065243,0.086665,0.122461,0.194514,0.339626", \ "0.054064,0.072047,0.089181,0.117806,0.162987,0.235187,0.378867", \ "0.080745,0.103793,0.125613,0.162441,0.222370,0.315363,0.460686", \ "0.128016,0.156660,0.184480,0.231425,0.308329,0.431161,0.619973"); } fall_transition(Timing_data_X4) { values ("0.005083,0.008845,0.013455,0.022641,0.041008,0.077756,0.151207", \ "0.007831,0.010790,0.014152,0.022639,0.041013,0.077753,0.151181", \ "0.011635,0.015328,0.018862,0.025193,0.041087,0.077744,0.151219", \ "0.018129,0.022822,0.027310,0.034888,0.047549,0.078145,0.151229", \ "0.029634,0.035562,0.041259,0.051005,0.066852,0.092478,0.152334", \ "0.050638,0.058016,0.065380,0.077907,0.098193,0.130704,0.182349", \ "0.090601,0.098401,0.108480,0.124706,0.150864,0.192474,0.258348"); } rise_transition(Timing_data_X4) { values ("0.008833,0.016395,0.025027,0.042304,0.076965,0.146105,0.284208", \ "0.010722,0.016627,0.025052,0.042326,0.076919,0.146028,0.284503", \ "0.015257,0.020685,0.026830,0.042365,0.076933,0.146244,0.284465", \ "0.021668,0.029150,0.036070,0.047811,0.077311,0.146148,0.284422", \ "0.032194,0.041491,0.050766,0.066095,0.090132,0.147389,0.284613", \ "0.051832,0.062110,0.073367,0.093301,0.125716,0.174918,0.287663", \ "0.088991,0.099668,0.112789,0.136619,0.177964,0.244768,0.344613"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_data_X4) { values ("0.012183,0.016920,0.022270,0.032919,0.054169,0.096630,0.181525", \ "0.014951,0.020389,0.025953,0.036651,0.057939,0.100427,0.185334", \ "0.017068,0.024145,0.030817,0.042543,0.064058,0.106611,0.191566", \ "0.017543,0.027473,0.036485,0.051167,0.075514,0.118852,0.203938", \ "0.013360,0.027443,0.040090,0.060259,0.091521,0.141383,0.228448", \ "-0.0009894,0.018529,0.036159,0.064288,0.107336,0.172061,0.273095", \ "-0.037671,-0.010823,0.013417,0.052400,0.112228,0.201359,0.333110"); } cell_rise(Timing_data_X4) { values ("0.020123,0.028395,0.037693,0.056112,0.092771,0.165683,0.311385", \ "0.026115,0.034191,0.043380,0.061704,0.098280,0.171208,0.316915", \ "0.035276,0.044556,0.053610,0.071626,0.107951,0.180677,0.326266", \ "0.048214,0.060595,0.072554,0.092537,0.128120,0.200277,0.345373", \ "0.067868,0.083807,0.099492,0.126287,0.169526,0.241041,0.384791", \ "0.100055,0.120302,0.140201,0.174776,0.232096,0.322562,0.466785", \ "0.155821,0.181567,0.206643,0.250190,0.323452,0.442629,0.628070"); } fall_transition(Timing_data_X4) { values ("0.004864,0.008857,0.013454,0.022642,0.041007,0.077753,0.151232", \ "0.006118,0.009579,0.013716,0.022646,0.041009,0.077750,0.151180", \ "0.008669,0.012046,0.015853,0.023732,0.041079,0.077735,0.151191", \ "0.013631,0.017421,0.021233,0.028635,0.043995,0.078043,0.151204", \ "0.022643,0.027131,0.031601,0.039644,0.054341,0.084657,0.151994", \ "0.038280,0.043896,0.049776,0.059578,0.076388,0.105754,0.165998", \ "0.065421,0.072558,0.080431,0.093795,0.114815,0.149177,0.208624"); } rise_transition(Timing_data_X4) { values ("0.011905,0.019542,0.028262,0.045672,0.080486,0.149665,0.288645", \ "0.012353,0.019540,0.028251,0.045681,0.080337,0.149668,0.288420", \ "0.016456,0.021960,0.029055,0.045682,0.080457,0.149771,0.288382", \ "0.023061,0.030445,0.037173,0.049680,0.080566,0.149943,0.288617", \ "0.032613,0.042613,0.052074,0.067342,0.091784,0.150660,0.288462", \ "0.047950,0.060727,0.073211,0.094144,0.126925,0.176431,0.290983", \ "0.074999,0.091287,0.106910,0.133587,0.177440,0.245474,0.345919"); } } internal_power () { related_pin : "A1"; fall_power(Power_data_X4) { values ("0.001174,0.001205,0.001220,0.001233,0.001243,0.001248,0.001250", \ "0.001198,0.001207,0.001217,0.001229,0.001240,0.001246,0.001249", \ "0.001381,0.001317,0.001293,0.001276,0.001267,0.001262,0.001258", \ "0.002005,0.001754,0.001623,0.001504,0.001414,0.001351,0.001309", \ "0.003640,0.003083,0.002702,0.002298,0.001956,0.001700,0.001521", \ "0.007318,0.006403,0.005669,0.004701,0.003694,0.002876,0.002279", \ "0.014857,0.013725,0.012657,0.010971,0.008765,0.006514,0.004727"); } rise_power(Power_data_X4) { values ("0.002742,0.002806,0.002853,0.002909,0.002960,0.003008,0.003073", \ "0.002815,0.002817,0.002834,0.002871,0.002924,0.002986,0.003052", \ "0.003090,0.003014,0.002977,0.002952,0.002956,0.002988,0.003050", \ "0.003777,0.003591,0.003472,0.003337,0.003214,0.003136,0.003127", \ "0.005395,0.005001,0.004739,0.004425,0.004082,0.003751,0.003506", \ "0.009001,0.008298,0.007754,0.007089,0.006355,0.005583,0.004834", \ "0.016551,0.015530,0.014671,0.013344,0.011819,0.010228,0.008594"); } } internal_power () { related_pin : "A2"; fall_power(Power_data_X4) { values ("0.001169,0.001203,0.001220,0.001234,0.001243,0.001248,0.001251", \ "0.001121,0.001160,0.001185,0.001209,0.001228,0.001240,0.001246", \ "0.001154,0.001163,0.001178,0.001199,0.001220,0.001235,0.001244", \ "0.001488,0.001367,0.001313,0.001280,0.001267,0.001262,0.001259", \ "0.002673,0.002280,0.002016,0.001751,0.001559,0.001441,0.001366", \ "0.005712,0.004953,0.004341,0.003549,0.002762,0.002189,0.001827", \ "0.012311,0.011198,0.010250,0.008735,0.006791,0.004891,0.003509"); } rise_power(Power_data_X4) { values ("0.004438,0.004471,0.004491,0.004518,0.004554,0.004589,0.004634", \ "0.004494,0.004493,0.004496,0.004509,0.004541,0.004575,0.004622", \ "0.004743,0.004688,0.004649,0.004612,0.004596,0.004601,0.004639", \ "0.005386,0.005255,0.005151,0.005017,0.004880,0.004782,0.004735", \ "0.006869,0.006635,0.006425,0.006138,0.005790,0.005432,0.005156", \ "0.010113,0.009746,0.009383,0.008855,0.008157,0.007355,0.006551", \ "0.016811,0.016299,0.015867,0.015004,0.013775,0.012230,0.010499"); } } } } /****************************************************************************************** Module : OR2_X4 Cell Description : Combinational cell (OR2_X4) with drive strength X4 *******************************************************************************************/ cell (OR2_X4) { drive_strength : 4; area : 1.064000; cell_leakage_power : 14897.262500; leakage_power () { when : "!A1 & !A2"; value : 20047.900000; } leakage_power () { when : "!A1 & A2"; value : 12123.620000; } leakage_power () { when : "A1 & !A2"; value : 12823.880000; } leakage_power () { when : "A1 & A2"; value : 14593.650000; } pin (A1) { direction : input; capacitance : 0.000547; fall_capacitance : 0.000497; rise_capacitance : 0.000598; fall_capacitance_range (0.000465, 0.000564); rise_capacitance_range (0.000524, 0.000694); max_transition : 0.600000; } pin (A2) { direction : input; capacitance : 0.000589; fall_capacitance : 0.000571; rise_capacitance : 0.000608; fall_capacitance_range (0.000524, 0.000621); rise_capacitance_range (0.000558, 0.000678); max_transition : 0.600000; } pin (ZN) { direction : output; max_capacitance : 0.102400; max_transition : 0.600000; function : "(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_data_X4) { values ("0.055270,0.064254,0.072244,0.085347,0.107147,0.145364,0.217395", \ "0.059969,0.068947,0.076930,0.090032,0.111831,0.150047,0.222083", \ "0.068868,0.077827,0.085795,0.098889,0.120691,0.158912,0.230955", \ "0.086731,0.095758,0.103739,0.116849,0.138675,0.176899,0.248924", \ "0.112506,0.122460,0.131205,0.145214,0.167842,0.206619,0.278644", \ "0.149854,0.161055,0.170949,0.186651,0.211103,0.251100,0.323730", \ "0.208885,0.221560,0.232873,0.250963,0.279259,0.322987,0.397665"); } cell_rise(Timing_data_X4) { values ("0.031376,0.040721,0.050122,0.068224,0.104110,0.175726,0.318839", \ "0.036458,0.045763,0.055131,0.073211,0.109089,0.180712,0.323813", \ "0.043902,0.053319,0.062634,0.080612,0.116404,0.188007,0.331142", \ "0.052402,0.062665,0.072171,0.090080,0.125687,0.197132,0.340205", \ "0.060116,0.071942,0.082267,0.100330,0.135647,0.206830,0.349672", \ "0.063190,0.076935,0.089264,0.108964,0.144387,0.215219,0.357717", \ "0.053182,0.068931,0.083614,0.107527,0.145776,0.217080,0.359796"); } fall_transition(Timing_data_X4) { values ("0.011252,0.015073,0.018983,0.026232,0.040159,0.068550,0.128377", \ "0.011251,0.015076,0.018987,0.026235,0.040159,0.068552,0.128375", \ "0.011249,0.015088,0.019001,0.026246,0.040166,0.068554,0.128378", \ "0.012455,0.015921,0.019604,0.026640,0.040396,0.068653,0.128396", \ "0.016180,0.019534,0.022974,0.029458,0.042443,0.069746,0.128631", \ "0.021470,0.024954,0.028466,0.034746,0.046674,0.072413,0.130103", \ "0.029807,0.033497,0.037351,0.044116,0.055853,0.079349,0.133583"); } rise_transition(Timing_data_X4) { values ("0.007720,0.014243,0.022103,0.038666,0.072566,0.140523,0.276414", \ "0.007732,0.014254,0.022112,0.038661,0.072572,0.140638,0.276471", \ "0.008397,0.014570,0.022241,0.038698,0.072579,0.140629,0.276282", \ "0.010204,0.015961,0.023039,0.038971,0.072601,0.140618,0.276515", \ "0.013065,0.019050,0.025266,0.039848,0.072872,0.140698,0.276690", \ "0.017842,0.024583,0.030870,0.043344,0.074100,0.141170,0.276836", \ "0.026178,0.033218,0.040781,0.053259,0.079420,0.143898,0.278380"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_data_X4) { values ("0.064998,0.073984,0.081972,0.095077,0.116877,0.155091,0.227127", \ "0.070208,0.079195,0.087179,0.100282,0.122082,0.160298,0.232332", \ "0.077869,0.086844,0.094823,0.107923,0.129723,0.167944,0.239983", \ "0.091779,0.100807,0.108812,0.121941,0.143778,0.182013,0.254050", \ "0.112772,0.122431,0.131011,0.144920,0.167594,0.206399,0.278503", \ "0.146102,0.156689,0.166101,0.181288,0.205600,0.245978,0.318910", \ "0.200538,0.212476,0.223129,0.240548,0.267853,0.311714,0.387442"); } cell_rise(Timing_data_X4) { values ("0.035273,0.044878,0.054413,0.072636,0.108613,0.180328,0.323535", \ "0.040284,0.049870,0.059385,0.077593,0.113570,0.185278,0.328465", \ "0.048572,0.058115,0.067559,0.085669,0.121576,0.193250,0.336479", \ "0.059099,0.069357,0.078920,0.096929,0.132625,0.204188,0.347333", \ "0.069550,0.081198,0.091411,0.109497,0.144930,0.216196,0.359158", \ "0.077041,0.090455,0.102353,0.121623,0.156914,0.227756,0.370361", \ "0.076009,0.091266,0.105392,0.127891,0.164643,0.235180,0.377346"); } fall_transition(Timing_data_X4) { values ("0.011252,0.015074,0.018985,0.026232,0.040159,0.068552,0.128376", \ "0.011251,0.015077,0.018985,0.026232,0.040159,0.068551,0.128375", \ "0.011255,0.015084,0.018994,0.026237,0.040161,0.068553,0.128378", \ "0.011933,0.015579,0.019362,0.026482,0.040303,0.068613,0.128388", \ "0.014215,0.017889,0.021658,0.028631,0.042009,0.069502,0.128578", \ "0.018030,0.021690,0.025502,0.032468,0.045594,0.072218,0.129848", \ "0.024469,0.028251,0.032226,0.039426,0.052628,0.078542,0.133885"); } rise_transition(Timing_data_X4) { values ("0.008187,0.014683,0.022478,0.038924,0.072753,0.140738,0.276734", \ "0.008170,0.014679,0.022471,0.038923,0.072751,0.140699,0.276811", \ "0.008478,0.014799,0.022526,0.038933,0.072734,0.140738,0.276666", \ "0.010155,0.015992,0.023168,0.039127,0.072783,0.140756,0.276615", \ "0.012773,0.018695,0.025029,0.039854,0.072977,0.140821,0.276855", \ "0.016918,0.023485,0.029615,0.042389,0.073706,0.141147,0.277021", \ "0.023833,0.030776,0.037896,0.049864,0.076943,0.142454,0.277635"); } } internal_power () { related_pin : "A1"; fall_power(Power_data_X4) { values ("0.003838,0.003561,0.003476,0.003436,0.003423,0.003415,0.003409", \ "0.003839,0.003561,0.003474,0.003433,0.003421,0.003414,0.003409", \ "0.003883,0.003599,0.003507,0.003464,0.003452,0.003446,0.003443", \ "0.004154,0.003814,0.003686,0.003612,0.003580,0.003565,0.003557", \ "0.004870,0.004381,0.004154,0.004000,0.003916,0.003871,0.003847", \ "0.006181,0.005537,0.005167,0.004867,0.004684,0.004581,0.004523", \ "0.008740,0.007931,0.007378,0.006844,0.006459,0.006226,0.006090"); } rise_power(Power_data_X4) { values ("0.002065,0.002064,0.002082,0.002115,0.002153,0.002194,0.002255", \ "0.002079,0.002068,0.002078,0.002104,0.002143,0.002186,0.002249", \ "0.002174,0.002130,0.002120,0.002126,0.002154,0.002195,0.002263", \ "0.002404,0.002310,0.002272,0.002247,0.002247,0.002274,0.002328", \ "0.002961,0.002780,0.002700,0.002627,0.002577,0.002566,0.002596", \ "0.004266,0.003907,0.003756,0.003605,0.003472,0.003379,0.003355", \ "0.007035,0.006353,0.006065,0.005813,0.005532,0.005295,0.005138"); } } internal_power () { related_pin : "A2"; fall_power(Power_data_X4) { values ("0.004079,0.003803,0.003717,0.003677,0.003664,0.003656,0.003651", \ "0.004068,0.003791,0.003705,0.003665,0.003652,0.003645,0.003639", \ "0.004066,0.003786,0.003698,0.003656,0.003644,0.003638,0.003633", \ "0.004169,0.003854,0.003745,0.003687,0.003666,0.003656,0.003650", \ "0.004574,0.004159,0.003981,0.003870,0.003817,0.003789,0.003775", \ "0.005507,0.004960,0.004676,0.004463,0.004339,0.004273,0.004238", \ "0.007540,0.006828,0.006383,0.005989,0.005726,0.005573,0.005487"); } rise_power(Power_data_X4) { values ("0.002536,0.002517,0.002525,0.002550,0.002588,0.002632,0.002692", \ "0.002533,0.002511,0.002515,0.002535,0.002572,0.002619,0.002682", \ "0.002618,0.002569,0.002554,0.002556,0.002581,0.002624,0.002686", \ "0.002841,0.002745,0.002703,0.002672,0.002669,0.002693,0.002751", \ "0.003360,0.003183,0.003102,0.003025,0.002971,0.002957,0.002987", \ "0.004536,0.004206,0.004062,0.003910,0.003776,0.003685,0.003658", \ "0.006980,0.006379,0.006106,0.005884,0.005621,0.005395,0.005247"); } } } } /****************************************************************************************** Module : NOR2_X4 Cell Description : Combinational cell (NOR2_X4) with drive strength X4 *******************************************************************************************/ cell (NOR2_X4) { drive_strength : 4; area : 1.330000; cell_leakage_power : 18860.302500; leakage_power () { when : "!A1 & !A2"; value : 17516.110000; } leakage_power () { when : "!A1 & A2"; value : 14706.020000; } leakage_power () { when : "A1 & !A2"; value : 17585.970000; } leakage_power () { when : "A1 & A2"; value : 25633.110000; } pin (A1) { direction : input; capacitance : 0.001817; fall_capacitance : 0.001627; rise_capacitance : 0.002008; fall_capacitance_range (0.001483, 0.002192); rise_capacitance_range (0.001738, 0.002474); max_transition : 0.600000; } pin (A2) { direction : input; capacitance : 0.002068; fall_capacitance : 0.002005; rise_capacitance : 0.002132; fall_capacitance_range (0.001772, 0.002358); rise_capacitance_range (0.001947, 0.002475); max_transition : 0.600000; } pin (ZN) { direction : output; max_capacitance : 0.102400; max_transition : 0.600000; function : "!(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_data_X4) { values ("0.008862,0.012900,0.017402,0.026349,0.044180,0.079784,0.150963", \ "0.011291,0.017202,0.022428,0.031350,0.049139,0.084723,0.155899", \ "0.012590,0.020685,0.027920,0.039403,0.057574,0.093025,0.164124", \ "0.012145,0.023173,0.033036,0.048827,0.073063,0.110018,0.180779", \ "0.007213,0.022188,0.035644,0.057130,0.090323,0.140218,0.214896", \ "-0.007938,0.012227,0.030532,0.059786,0.104961,0.173160,0.274469", \ "-0.044618,-0.018157,0.006290,0.045971,0.107535,0.200361,0.338692"); } cell_rise(Timing_data_X4) { values ("0.017109,0.028853,0.042183,0.068647,0.121297,0.226308,0.436208", \ "0.022632,0.033822,0.046896,0.073177,0.125752,0.230800,0.440701", \ "0.029430,0.043032,0.055872,0.081658,0.133830,0.238620,0.448430", \ "0.039322,0.056668,0.073043,0.100090,0.151266,0.255184,0.464366", \ "0.055043,0.076468,0.097198,0.132236,0.188575,0.290487,0.497893", \ "0.081344,0.107844,0.133380,0.177320,0.250100,0.365384,0.568941", \ "0.127945,0.160288,0.191884,0.246123,0.337055,0.485541,0.718871"); } fall_transition(Timing_data_X4) { values ("0.003959,0.006839,0.010706,0.018439,0.033908,0.064841,0.126721", \ "0.006622,0.009252,0.011832,0.018488,0.033911,0.064847,0.126693", \ "0.010155,0.013571,0.016752,0.021955,0.034302,0.064856,0.126701", \ "0.016297,0.020703,0.024791,0.031625,0.042453,0.066072,0.126741", \ "0.027243,0.033013,0.038305,0.047082,0.061293,0.083462,0.129685", \ "0.046957,0.054675,0.061797,0.073254,0.091491,0.120583,0.165478", \ "0.085152,0.093683,0.103361,0.118847,0.143011,0.180194,0.239136"); } rise_transition(Timing_data_X4) { values ("0.011772,0.022533,0.034608,0.058684,0.106816,0.203052,0.395353", \ "0.012952,0.022406,0.034607,0.058677,0.106788,0.203068,0.395377", \ "0.017081,0.025098,0.035120,0.058698,0.106811,0.203095,0.395198", \ "0.022938,0.032976,0.042561,0.061089,0.106832,0.203048,0.395516", \ "0.032641,0.044608,0.056633,0.076931,0.113291,0.203047,0.395320", \ "0.050565,0.063834,0.078032,0.103455,0.145490,0.217926,0.395436", \ "0.085280,0.099193,0.115021,0.144460,0.196739,0.282387,0.427109"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_data_X4) { values ("0.010734,0.015112,0.019909,0.029189,0.047335,0.083244,0.154762", \ "0.014810,0.020102,0.024961,0.034130,0.052233,0.088108,0.159613", \ "0.017969,0.025137,0.031776,0.042586,0.060647,0.096387,0.167807", \ "0.020167,0.029786,0.038781,0.053571,0.076819,0.113372,0.184454", \ "0.019366,0.032168,0.044215,0.064171,0.095897,0.144480,0.218574", \ "0.011085,0.028066,0.044129,0.070837,0.113414,0.179578,0.279259", \ "-0.013513,0.008766,0.029893,0.065269,0.122189,0.210919,0.346338"); } cell_rise(Timing_data_X4) { values ("0.025153,0.036894,0.050174,0.076571,0.129150,0.234143,0.443969", \ "0.030266,0.041937,0.055188,0.081594,0.134230,0.239279,0.449152", \ "0.036561,0.049385,0.062632,0.088984,0.141607,0.246697,0.456622", \ "0.044582,0.060415,0.075987,0.103521,0.156038,0.261047,0.470961", \ "0.057542,0.077225,0.096160,0.129038,0.185785,0.290449,0.500006", \ "0.081340,0.105930,0.129253,0.169029,0.236387,0.351164,0.560035", \ "0.122382,0.153830,0.183482,0.233259,0.315449,0.452025,0.682764"); } fall_transition(Timing_data_X4) { values ("0.005488,0.008962,0.012898,0.020646,0.036135,0.067139,0.129147", \ "0.008012,0.010407,0.013383,0.020623,0.036136,0.067165,0.129112", \ "0.011725,0.014957,0.017966,0.023165,0.036317,0.067168,0.129249", \ "0.017775,0.022111,0.026160,0.032857,0.043472,0.068064,0.129241", \ "0.028049,0.033849,0.039243,0.048229,0.062422,0.084506,0.131811", \ "0.046158,0.053976,0.061447,0.073374,0.092241,0.121617,0.166603", \ "0.077855,0.089487,0.099956,0.116464,0.141559,0.180040,0.239985"); } rise_transition(Timing_data_X4) { values ("0.011995,0.022551,0.034617,0.058647,0.106761,0.202898,0.395431", \ "0.012178,0.022573,0.034615,0.058689,0.106771,0.203097,0.395468", \ "0.014737,0.023612,0.034768,0.058703,0.106773,0.202901,0.395508", \ "0.019714,0.029084,0.039239,0.060072,0.106837,0.202950,0.395533", \ "0.028710,0.038598,0.049470,0.070297,0.111030,0.203062,0.395314", \ "0.043890,0.054510,0.066290,0.088920,0.131611,0.212949,0.395430", \ "0.071582,0.082552,0.095494,0.120294,0.166873,0.253699,0.416759"); } } internal_power () { related_pin : "A1"; fall_power(Power_data_X4) { values ("0.000407,0.000464,0.000495,0.000521,0.000540,0.000551,0.000557", \ "0.000433,0.000464,0.000492,0.000522,0.000548,0.000565,0.000574", \ "0.000625,0.000567,0.000557,0.000561,0.000573,0.000582,0.000587", \ "0.001264,0.001014,0.000884,0.000780,0.000712,0.000669,0.000640", \ "0.002859,0.002350,0.001989,0.001589,0.001255,0.001021,0.000860", \ "0.006360,0.005569,0.004921,0.004026,0.003042,0.002224,0.001646", \ "0.013473,0.012500,0.011609,0.010143,0.008157,0.005977,0.004175"); } rise_power(Power_data_X4) { values ("0.003121,0.003168,0.003203,0.003245,0.003287,0.003329,0.003393", \ "0.003215,0.003205,0.003209,0.003229,0.003265,0.003312,0.003377", \ "0.003483,0.003410,0.003367,0.003328,0.003313,0.003330,0.003387", \ "0.004124,0.003955,0.003843,0.003710,0.003578,0.003489,0.003465", \ "0.005598,0.005242,0.005008,0.004725,0.004405,0.004084,0.003839", \ "0.008923,0.008216,0.007724,0.007145,0.006503,0.005802,0.005100", \ "0.015957,0.014833,0.013977,0.012760,0.011443,0.010070,0.008601"); } } internal_power () { related_pin : "A2"; fall_power(Power_data_X4) { values ("0.001826,0.001838,0.001845,0.001854,0.001865,0.001879,0.001899", \ "0.001808,0.001816,0.001822,0.001832,0.001844,0.001857,0.001878", \ "0.001921,0.001893,0.001878,0.001869,0.001863,0.001866,0.001879", \ "0.002382,0.002258,0.002175,0.002089,0.002012,0.001956,0.001928", \ "0.003663,0.003376,0.003152,0.002872,0.002580,0.002334,0.002159", \ "0.006644,0.006145,0.005724,0.005121,0.004371,0.003614,0.003001", \ "0.012827,0.012216,0.011622,0.010605,0.009182,0.007446,0.005717"); } rise_power(Power_data_X4) { values ("0.004130,0.004171,0.004198,0.004229,0.004261,0.004298,0.004352", \ "0.004098,0.004127,0.004151,0.004185,0.004227,0.004274,0.004335", \ "0.004106,0.004119,0.004133,0.004158,0.004197,0.004248,0.004321", \ "0.004290,0.004245,0.004224,0.004213,0.004217,0.004247,0.004315", \ "0.005202,0.004953,0.004808,0.004662,0.004536,0.004445,0.004415", \ "0.007852,0.007229,0.006796,0.006312,0.005834,0.005403,0.005048", \ "0.013844,0.012774,0.011908,0.010749,0.009525,0.008373,0.007311"); } } } } /****************************************************************************************** Module : XOR2_X2 Cell Description : Combinational cell (XOR2_X2) with drive strength X2 *******************************************************************************************/ cell (XOR2_X2) { drive_strength : 2; area : 1.596000; cell_leakage_power : 18476.400000; leakage_power () { when : "!A & !B"; value : 11236.410000; } leakage_power () { when : "!A & B"; value : 22955.560000; } leakage_power () { when : "A & !B"; value : 10870.830000; } leakage_power () { when : "A & B"; value : 28842.800000; } pin (A) { direction : input; capacitance : 0.001440; fall_capacitance : 0.001418; rise_capacitance : 0.001462; fall_capacitance_range (0.001038, 0.001706); rise_capacitance_range (0.000884, 0.001880); max_transition : 0.600000; } pin (B) { direction : input; capacitance : 0.001559; fall_capacitance : 0.001555; rise_capacitance : 0.001562; fall_capacitance_range (0.001255, 0.001747); rise_capacitance_range (0.001096, 0.001993); max_transition : 0.600000; } pin (Z) { direction : output; max_capacitance : 0.051200; max_transition : 0.600000; function : "(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_data_X2) { values ("0.064049,0.068910,0.074826,0.085707,0.105608,0.142950,0.215797", \ "0.068852,0.073716,0.079665,0.090537,0.110459,0.147801,0.220656", \ "0.077757,0.082665,0.088652,0.099573,0.119498,0.156844,0.229678", \ "0.094395,0.099515,0.105664,0.116773,0.136803,0.174144,0.246949", \ "0.118788,0.124347,0.130986,0.142664,0.163375,0.201245,0.274062", \ "0.155283,0.161677,0.169145,0.182087,0.203946,0.242569,0.315745", \ "0.214008,0.221614,0.230373,0.245176,0.270177,0.311719,0.386727"); } cell_rise(Timing_data_X2) { values ("0.062481,0.072140,0.084960,0.110671,0.162452,0.266634,0.475918", \ "0.066844,0.076648,0.089641,0.115586,0.167548,0.271785,0.481070", \ "0.072630,0.082563,0.095709,0.121874,0.174108,0.278537,0.487781", \ "0.079158,0.089222,0.102440,0.128819,0.181341,0.286045,0.495467", \ "0.084917,0.095077,0.108476,0.135055,0.187658,0.292636,0.502411", \ "0.086132,0.097011,0.110647,0.137298,0.190219,0.295622,0.505309", \ "0.073582,0.085998,0.100750,0.128200,0.181497,0.287222,0.498140"); } fall_transition(Timing_data_X2) { values ("0.015920,0.018981,0.022811,0.030169,0.044688,0.074588,0.136736", \ "0.015926,0.018960,0.022819,0.030163,0.044686,0.074584,0.136733", \ "0.016001,0.019010,0.022833,0.030168,0.044691,0.074585,0.136740", \ "0.016798,0.019733,0.023491,0.030650,0.044952,0.074684,0.136750", \ "0.018432,0.021307,0.024954,0.031913,0.045984,0.075386,0.136920", \ "0.022636,0.025538,0.029073,0.035666,0.048630,0.076607,0.137462", \ "0.029916,0.033091,0.037076,0.043884,0.056307,0.082330,0.140313"); } rise_transition(Timing_data_X2) { values ("0.025560,0.034710,0.046824,0.071090,0.119556,0.215887,0.408457", \ "0.025568,0.034712,0.046827,0.071090,0.119537,0.215911,0.408537", \ "0.025609,0.034723,0.046870,0.071102,0.119414,0.215874,0.408456", \ "0.024387,0.033878,0.046285,0.071110,0.119491,0.215960,0.408571", \ "0.023870,0.032653,0.044588,0.068979,0.118155,0.215976,0.408660", \ "0.026052,0.033976,0.045181,0.068749,0.116813,0.213922,0.408012", \ "0.031700,0.039138,0.049300,0.070940,0.117716,0.213698,0.406100"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_data_X2) { values ("0.013606,0.017654,0.023013,0.033677,0.054936,0.097399,0.182283", \ "0.017705,0.022294,0.027631,0.038244,0.059475,0.101917,0.186797", \ "0.020940,0.027209,0.034324,0.046143,0.067194,0.109516,0.194326", \ "0.022840,0.031309,0.041002,0.057106,0.082725,0.125095,0.209558", \ "0.020649,0.032163,0.045215,0.066952,0.101756,0.155473,0.240888", \ "0.008456,0.023914,0.041541,0.070895,0.117931,0.190607,0.300690", \ "-0.026044,-0.005834,0.017933,0.057612,0.120978,0.219137,0.368070"); } cell_rise(Timing_data_X2) { values ("0.026466,0.036730,0.050210,0.076827,0.129604,0.234761,0.444628", \ "0.031363,0.041346,0.054675,0.081204,0.133969,0.239154,0.449200", \ "0.040648,0.050454,0.063303,0.089425,0.141887,0.246866,0.456847", \ "0.054237,0.066960,0.081775,0.107600,0.159116,0.263291,0.472689", \ "0.074671,0.090642,0.109479,0.142249,0.196361,0.298546,0.506125", \ "0.107831,0.127696,0.151062,0.192186,0.261733,0.374000,0.577463", \ "0.164131,0.188828,0.218098,0.269098,0.356037,0.499928,0.729041"); } fall_transition(Timing_data_X2) { values ("0.007558,0.011026,0.015619,0.024810,0.043181,0.079930,0.153335", \ "0.009693,0.012088,0.015899,0.024810,0.043179,0.079912,0.153430", \ "0.013935,0.016788,0.020147,0.026654,0.043184,0.079914,0.153332", \ "0.021194,0.024780,0.028909,0.036194,0.048849,0.080168,0.153422", \ "0.033862,0.038243,0.043671,0.052841,0.068174,0.093644,0.154318", \ "0.056046,0.061936,0.069181,0.080693,0.100240,0.131998,0.183414", \ "0.096904,0.104146,0.113577,0.129276,0.154514,0.194889,0.259561"); } rise_transition(Timing_data_X2) { values ("0.021204,0.030384,0.042435,0.066414,0.114556,0.210723,0.402995", \ "0.020795,0.030245,0.042446,0.066486,0.114569,0.210737,0.403038", \ "0.023653,0.031053,0.042222,0.066440,0.114490,0.210758,0.403102", \ "0.031245,0.038717,0.047660,0.067724,0.114569,0.210662,0.403070", \ "0.042854,0.051648,0.062716,0.082030,0.119421,0.210784,0.403123", \ "0.063054,0.072707,0.085670,0.109518,0.150349,0.223796,0.403175", \ "0.099406,0.110300,0.124667,0.152400,0.202332,0.286505,0.432498"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_data_X2) { values ("0.074254,0.079132,0.085081,0.095953,0.115829,0.153143,0.225985", \ "0.079446,0.084357,0.090304,0.101189,0.121086,0.158398,0.231213", \ "0.087018,0.091925,0.097908,0.108823,0.128741,0.166066,0.238923", \ "0.100020,0.105072,0.111191,0.122263,0.142325,0.179700,0.252535", \ "0.119826,0.125366,0.131998,0.143743,0.164539,0.202413,0.275300", \ "0.152282,0.158454,0.165796,0.178668,0.200787,0.239885,0.313285", \ "0.205875,0.213115,0.221387,0.235972,0.260820,0.303093,0.378568"); } cell_rise(Timing_data_X2) { values ("0.059093,0.069084,0.082259,0.108458,0.160793,0.265540,0.475124", \ "0.064003,0.073991,0.087177,0.113446,0.165855,0.270603,0.480264", \ "0.071343,0.081340,0.094556,0.120875,0.173380,0.278255,0.487861", \ "0.080677,0.090533,0.103692,0.130055,0.182651,0.287626,0.497354", \ "0.090337,0.100364,0.113522,0.139639,0.191825,0.296793,0.506706", \ "0.096907,0.107479,0.120767,0.146912,0.199392,0.304068,0.513669", \ "0.093444,0.105474,0.119929,0.146275,0.198583,0.303715,0.513160"); } fall_transition(Timing_data_X2) { values ("0.015781,0.018820,0.022687,0.030057,0.044619,0.074557,0.136731", \ "0.015769,0.018837,0.022683,0.030047,0.044620,0.074559,0.136725", \ "0.015823,0.018866,0.022699,0.030065,0.044625,0.074561,0.136726", \ "0.016489,0.019448,0.023176,0.030404,0.044801,0.074626,0.136735", \ "0.017590,0.020635,0.024415,0.031602,0.045799,0.075180,0.136863", \ "0.020691,0.023808,0.027698,0.034812,0.048517,0.076729,0.137214", \ "0.026207,0.029735,0.033810,0.041318,0.055134,0.082627,0.140794"); } rise_transition(Timing_data_X2) { values ("0.021357,0.030293,0.042334,0.066396,0.114512,0.210664,0.402968", \ "0.021377,0.030330,0.042339,0.066410,0.114505,0.210693,0.403221", \ "0.021413,0.030357,0.042311,0.066387,0.114560,0.210666,0.402966", \ "0.021229,0.030114,0.042190,0.066437,0.114505,0.210702,0.403225", \ "0.022209,0.030601,0.042209,0.065890,0.114183,0.210829,0.403320", \ "0.024591,0.032284,0.043283,0.066483,0.114188,0.210126,0.403056", \ "0.029641,0.036804,0.046743,0.068405,0.115178,0.210707,0.402364"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_data_X2) { values ("0.016008,0.020077,0.025445,0.036119,0.057381,0.099856,0.184749", \ "0.019341,0.023716,0.029147,0.039876,0.061195,0.103697,0.188626", \ "0.022741,0.028082,0.034398,0.045869,0.067318,0.109905,0.194862", \ "0.025493,0.032790,0.041069,0.055052,0.078961,0.122150,0.207243", \ "0.024584,0.034908,0.046471,0.065486,0.095729,0.144966,0.231795", \ "0.014084,0.028736,0.044999,0.071680,0.113292,0.176683,0.276883", \ "-0.018675,0.002149,0.025021,0.062469,0.120726,0.208252,0.338416"); } cell_rise(Timing_data_X2) { values ("0.034132,0.044268,0.057644,0.084187,0.137005,0.242122,0.452043", \ "0.039035,0.049024,0.062298,0.088776,0.141500,0.246694,0.456663", \ "0.048526,0.058101,0.071075,0.097199,0.149657,0.254591,0.464430", \ "0.064985,0.076486,0.090212,0.115541,0.167080,0.271213,0.480549", \ "0.088959,0.103525,0.121106,0.152079,0.204612,0.306733,0.514192", \ "0.126825,0.145180,0.167069,0.206032,0.273072,0.382712,0.585919", \ "0.191748,0.214410,0.241414,0.289499,0.372837,0.513122,0.738714"); } fall_transition(Timing_data_X2) { values ("0.007577,0.011023,0.015621,0.024812,0.043182,0.079901,0.153336", \ "0.008434,0.011433,0.015729,0.024810,0.043182,0.079912,0.153332", \ "0.010849,0.013708,0.017597,0.025627,0.043196,0.079925,0.153418", \ "0.016033,0.019018,0.022837,0.030263,0.045788,0.080133,0.153389", \ "0.025527,0.029060,0.033500,0.041116,0.055900,0.086380,0.154081", \ "0.042071,0.046583,0.052135,0.061632,0.078060,0.107246,0.167694", \ "0.070685,0.076025,0.083743,0.096528,0.117392,0.151108,0.210111"); } rise_transition(Timing_data_X2) { values ("0.025425,0.034642,0.046828,0.071109,0.119379,0.215890,0.408430", \ "0.025274,0.034617,0.046801,0.071087,0.119472,0.215869,0.408557", \ "0.026320,0.034638,0.046683,0.071120,0.119463,0.215892,0.408442", \ "0.033510,0.040826,0.050321,0.071617,0.119495,0.215950,0.408488", \ "0.044635,0.053978,0.065052,0.084180,0.123236,0.215978,0.408704", \ "0.061539,0.073200,0.087172,0.111578,0.152556,0.227247,0.408670", \ "0.090886,0.104485,0.121417,0.151554,0.203529,0.288286,0.435963"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_data_X2) { values ("0.004821,0.004780,0.004736,0.004674,0.004604,0.004543,0.004500", \ "0.004823,0.004785,0.004744,0.004684,0.004615,0.004552,0.004508", \ "0.004843,0.004814,0.004780,0.004728,0.004661,0.004598,0.004549", \ "0.004943,0.004920,0.004895,0.004852,0.004791,0.004725,0.004670", \ "0.005254,0.005226,0.005198,0.005161,0.005107,0.005040,0.004975", \ "0.006010,0.005973,0.005939,0.005890,0.005825,0.005759,0.005686", \ "0.007784,0.007714,0.007652,0.007581,0.007484,0.007385,0.007295"); } rise_power(Power_data_X2) { values ("0.003581,0.003561,0.003531,0.003483,0.003428,0.003386,0.003383", \ "0.003530,0.003521,0.003505,0.003476,0.003437,0.003399,0.003394", \ "0.003490,0.003492,0.003487,0.003476,0.003457,0.003432,0.003428", \ "0.003534,0.003539,0.003541,0.003542,0.003542,0.003534,0.003535", \ "0.003792,0.003798,0.003802,0.003808,0.003817,0.003824,0.003840", \ "0.004511,0.004518,0.004521,0.004526,0.004540,0.004560,0.004588", \ "0.006178,0.006169,0.006168,0.006188,0.006182,0.006198,0.006241"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_data_X2) { values ("0.000674,0.000688,0.000699,0.000710,0.000718,0.000724,0.000726", \ "0.000681,0.000692,0.000702,0.000714,0.000726,0.000733,0.000738", \ "0.000744,0.000737,0.000735,0.000737,0.000740,0.000744,0.000746", \ "0.000990,0.000931,0.000886,0.000845,0.000813,0.000790,0.000775", \ "0.001713,0.001553,0.001407,0.001238,0.001089,0.000974,0.000890", \ "0.003439,0.003158,0.002866,0.002448,0.001989,0.001597,0.001302", \ "0.007071,0.006714,0.006306,0.005582,0.004602,0.003525,0.002624"); } rise_power(Power_data_X2) { values ("0.002672,0.002701,0.002726,0.002757,0.002788,0.002824,0.002876", \ "0.002683,0.002696,0.002710,0.002735,0.002769,0.002810,0.002871", \ "0.002793,0.002782,0.002773,0.002771,0.002781,0.002811,0.002870", \ "0.003096,0.003050,0.003007,0.002955,0.002906,0.002883,0.002907", \ "0.003802,0.003703,0.003608,0.003480,0.003332,0.003188,0.003097", \ "0.005425,0.005213,0.005015,0.004757,0.004443,0.004093,0.003754", \ "0.008980,0.008610,0.008252,0.007714,0.007081,0.006376,0.005621"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_data_X2) { values ("0.005061,0.005030,0.004993,0.004936,0.004865,0.004800,0.004753", \ "0.005047,0.005019,0.004985,0.004930,0.004862,0.004796,0.004748", \ "0.005017,0.004993,0.004964,0.004916,0.004854,0.004791,0.004743", \ "0.004973,0.004957,0.004937,0.004903,0.004853,0.004796,0.004749", \ "0.005088,0.005069,0.005050,0.005022,0.004982,0.004929,0.004879", \ "0.005611,0.005586,0.005562,0.005528,0.005481,0.005434,0.005380", \ "0.007023,0.006973,0.006930,0.006882,0.006814,0.006747,0.006685"); } rise_power(Power_data_X2) { values ("0.003222,0.003232,0.003238,0.003239,0.003239,0.003247,0.003276", \ "0.003204,0.003214,0.003221,0.003228,0.003234,0.003243,0.003272", \ "0.003196,0.003207,0.003216,0.003227,0.003241,0.003259,0.003291", \ "0.003254,0.003264,0.003274,0.003287,0.003306,0.003331,0.003367", \ "0.003491,0.003498,0.003505,0.003517,0.003539,0.003567,0.003612", \ "0.004135,0.004139,0.004140,0.004146,0.004166,0.004199,0.004245", \ "0.005610,0.005597,0.005596,0.005615,0.005608,0.005634,0.005678"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_data_X2) { values ("0.000490,0.000508,0.000520,0.000533,0.000543,0.000551,0.000558", \ "0.000448,0.000471,0.000490,0.000511,0.000530,0.000543,0.000552", \ "0.000443,0.000458,0.000475,0.000496,0.000518,0.000535,0.000547", \ "0.000568,0.000543,0.000531,0.000528,0.000534,0.000544,0.000551", \ "0.001086,0.000973,0.000873,0.000765,0.000685,0.000636,0.000607", \ "0.002491,0.002259,0.002021,0.001686,0.001325,0.001045,0.000864", \ "0.005641,0.005286,0.004924,0.004285,0.003440,0.002517,0.001803"); } rise_power(Power_data_X2) { values ("0.003399,0.003416,0.003430,0.003448,0.003471,0.003495,0.003543", \ "0.003410,0.003419,0.003426,0.003440,0.003461,0.003488,0.003534", \ "0.003516,0.003505,0.003494,0.003485,0.003487,0.003500,0.003543", \ "0.003811,0.003775,0.003735,0.003678,0.003623,0.003587,0.003591", \ "0.004500,0.004428,0.004347,0.004225,0.004067,0.003910,0.003793", \ "0.006018,0.005901,0.005759,0.005535,0.005226,0.004857,0.004488", \ "0.009235,0.009050,0.008866,0.008495,0.007950,0.007246,0.006440"); } } } } /****************************************************************************************** Module : INV_X8 Cell Description : Combinational cell (INV_X8) with drive strength X8 *******************************************************************************************/ cell (INV_X8) { drive_strength : 8; area : 0.798000; cell_leakage_power : 24770.830000; leakage_power () { when : "!A"; value : 17459.200000; } leakage_power () { when : "A"; value : 32082.460000; } pin (A) { direction : input; capacitance : 0.002796; fall_capacitance : 0.002649; rise_capacitance : 0.002942; fall_capacitance_range (0.002363, 0.003421); rise_capacitance_range (0.002554, 0.003630); max_transition : 0.600000; } pin (ZN) { direction : output; max_capacitance : 0.204800; max_transition : 0.600000; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_data_X8) { values ("0.006034,0.010950,0.015423,0.024349,0.042176,0.077808,0.149067", \ "0.007073,0.014589,0.020287,0.029362,0.047122,0.082721,0.153955", \ "0.007036,0.017191,0.025031,0.037114,0.055588,0.091029,0.162178", \ "0.004940,0.018575,0.029183,0.045730,0.070677,0.108044,0.178843", \ "-0.001861,0.016303,0.030580,0.052941,0.087023,0.137757,0.212963", \ "-0.018579,0.005093,0.024175,0.054299,0.100329,0.169553,0.271906", \ "-0.055287,-0.025678,-0.0006732,0.039521,0.101523,0.195041,0.334585"); } cell_rise(Timing_data_X8) { values ("0.009980,0.018403,0.027447,0.045458,0.081339,0.152947,0.296084", \ "0.014154,0.024456,0.033251,0.051082,0.086878,0.158479,0.301616", \ "0.018834,0.032669,0.043406,0.061071,0.096522,0.167916,0.310947", \ "0.026165,0.043940,0.058381,0.081018,0.116729,0.187430,0.329994", \ "0.038310,0.060708,0.079322,0.109540,0.156120,0.228053,0.369243", \ "0.059529,0.087477,0.111020,0.149789,0.211714,0.306259,0.450692", \ "0.099002,0.132668,0.162227,0.211251,0.290560,0.415980,0.606503"); } fall_transition(Timing_data_X8) { values ("0.003093,0.005719,0.009436,0.017178,0.032669,0.063643,0.125614", \ "0.005342,0.008562,0.011126,0.017357,0.032672,0.063654,0.125627", \ "0.008465,0.012634,0.016027,0.021395,0.033256,0.063646,0.125595", \ "0.013967,0.019372,0.023756,0.030892,0.041942,0.065120,0.125605", \ "0.024020,0.031088,0.036739,0.045927,0.060584,0.083015,0.128842", \ "0.043037,0.051974,0.059493,0.071378,0.090218,0.119939,0.165121", \ "0.080839,0.090671,0.100357,0.116070,0.140517,0.178780,0.238624"); } rise_transition(Timing_data_X8) { values ("0.004803,0.012306,0.020810,0.037798,0.071805,0.139880,0.275975", \ "0.007729,0.013272,0.020815,0.037827,0.071854,0.139848,0.276078", \ "0.010897,0.018109,0.023763,0.038033,0.071869,0.139946,0.275996", \ "0.016015,0.025509,0.033121,0.044846,0.072552,0.139850,0.276099", \ "0.025336,0.036680,0.046775,0.062934,0.087054,0.141637,0.276019", \ "0.043476,0.055948,0.068081,0.089104,0.122439,0.171431,0.279890", \ "0.080505,0.092254,0.105807,0.130764,0.173638,0.241377,0.340259"); } } internal_power () { related_pin : "A"; fall_power(Power_data_X8) { values ("0.000283,0.000388,0.000430,0.000463,0.000484,0.000495,0.000501", \ "0.000431,0.000407,0.000428,0.000456,0.000478,0.000492,0.000499", \ "0.001012,0.000680,0.000599,0.000555,0.000535,0.000524,0.000517", \ "0.002560,0.001695,0.001321,0.001025,0.000826,0.000699,0.000618", \ "0.006063,0.004536,0.003616,0.002659,0.001901,0.001380,0.001030", \ "0.013467,0.011217,0.009618,0.007530,0.005366,0.003669,0.002493", \ "0.028281,0.025570,0.023313,0.019856,0.015389,0.010802,0.007214"); } rise_power(Power_data_X8) { values ("0.003734,0.003802,0.003865,0.003939,0.004010,0.004068,0.004142", \ "0.004016,0.003925,0.003909,0.003928,0.003978,0.004046,0.004125", \ "0.004649,0.004380,0.004258,0.004150,0.004090,0.004090,0.004143", \ "0.006167,0.005553,0.005264,0.004948,0.004645,0.004422,0.004315", \ "0.009597,0.008354,0.007736,0.007057,0.006339,0.005640,0.005087", \ "0.016903,0.014842,0.013567,0.012137,0.010656,0.009127,0.007641", \ "0.031695,0.028976,0.026918,0.024060,0.020968,0.017865,0.014707"); } } } } /****************************************************************************************** Module : BUF_X8 Cell Description : Combinational cell (BUF_X8) with drive strength X8 *******************************************************************************************/ cell (BUF_X8) { drive_strength : 8; area : 1.064000; cell_leakage_power : 27618.185000; leakage_power () { when : "!A"; value : 34080.810000; } leakage_power () { when : "A"; value : 21155.560000; } pin (A) { direction : input; capacitance : 0.000447; fall_capacitance : 0.000427; rise_capacitance : 0.000467; fall_capacitance_range (0.000405, 0.000474); rise_capacitance_range (0.000424, 0.000540); max_transition : 0.600000; } pin (Z) { direction : output; max_capacitance : 0.204800; max_transition : 0.600000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_data_X8) { values ("0.055055,0.064500,0.072294,0.085089,0.106425,0.144123,0.215927", \ "0.060683,0.070119,0.077909,0.090703,0.112038,0.149739,0.221544", \ "0.070711,0.080121,0.087902,0.100689,0.122026,0.159738,0.231544", \ "0.090990,0.100304,0.108040,0.120805,0.142136,0.179820,0.251599", \ "0.120904,0.131246,0.139867,0.153716,0.175967,0.213973,0.285536", \ "0.162435,0.174039,0.183812,0.199599,0.224274,0.264270,0.336309", \ "0.225997,0.238825,0.249897,0.267980,0.296656,0.340962,0.415500"); } cell_rise(Timing_data_X8) { values ("0.036968,0.047933,0.057718,0.076051,0.111984,0.183639,0.326839", \ "0.042023,0.052976,0.062738,0.081049,0.116973,0.188633,0.331852", \ "0.050412,0.061285,0.070991,0.089212,0.125052,0.196680,0.339872", \ "0.061577,0.073220,0.083116,0.101237,0.136800,0.208226,0.351353", \ "0.072770,0.085815,0.096581,0.114963,0.150164,0.221134,0.363920", \ "0.081043,0.095590,0.108226,0.128440,0.163683,0.233936,0.376088", \ "0.079509,0.095536,0.109767,0.134027,0.172478,0.242618,0.384148"); } fall_transition(Timing_data_X8) { values ("0.012396,0.016126,0.019822,0.026802,0.040493,0.068960,0.129231", \ "0.012395,0.016129,0.019826,0.026805,0.040493,0.068960,0.129231", \ "0.012400,0.016145,0.019844,0.026819,0.040501,0.068965,0.129233", \ "0.013631,0.016939,0.020418,0.027200,0.040717,0.069047,0.129246", \ "0.018862,0.021907,0.025044,0.031058,0.043277,0.070066,0.129406", \ "0.026208,0.029238,0.032433,0.038356,0.049717,0.074365,0.130945", \ "0.037089,0.040129,0.043502,0.049835,0.061109,0.083589,0.135848"); } rise_transition(Timing_data_X8) { values ("0.008891,0.015902,0.023514,0.039651,0.073306,0.141312,0.277399", \ "0.008892,0.015906,0.023518,0.039656,0.073301,0.141304,0.277375", \ "0.009220,0.016072,0.023612,0.039687,0.073297,0.141316,0.277490", \ "0.011437,0.017729,0.024616,0.040032,0.073336,0.141326,0.277308", \ "0.015046,0.021379,0.027485,0.041408,0.073711,0.141375,0.277494", \ "0.021183,0.027616,0.033891,0.045818,0.075208,0.141831,0.277603", \ "0.031809,0.037728,0.044937,0.057219,0.081859,0.144364,0.278682"); } } internal_power () { related_pin : "A"; fall_power(Power_data_X8) { values ("0.006219,0.005434,0.005195,0.005084,0.005045,0.005027,0.005016", \ "0.006219,0.005431,0.005189,0.005077,0.005039,0.005022,0.005013", \ "0.006268,0.005463,0.005211,0.005093,0.005055,0.005043,0.005037", \ "0.006717,0.005789,0.005461,0.005273,0.005191,0.005156,0.005141", \ "0.008152,0.006855,0.006253,0.005833,0.005610,0.005496,0.005438", \ "0.010565,0.008920,0.007938,0.007080,0.006568,0.006290,0.006140", \ "0.014742,0.012736,0.011374,0.009878,0.008778,0.008153,0.007802"); } rise_power(Power_data_X8) { values ("0.004136,0.004031,0.004028,0.004060,0.004118,0.004182,0.004261", \ "0.004147,0.004035,0.004023,0.004046,0.004100,0.004167,0.004254", \ "0.004334,0.004156,0.004106,0.004088,0.004114,0.004170,0.004255", \ "0.004832,0.004483,0.004366,0.004275,0.004235,0.004251,0.004321", \ "0.005865,0.005203,0.004985,0.004783,0.004628,0.004551,0.004556", \ "0.008073,0.006847,0.006422,0.006038,0.005696,0.005441,0.005312", \ "0.012463,0.010424,0.009649,0.008918,0.008251,0.007676,0.007256"); } } } } } /* * End of file */