Комплексное исследование умножителей в диапазоне 3 - 64 бит

Материал из Модулярная арифметики
(Различия между версиями)
Перейти к: навигация, поиск
Строка 5: Строка 5:
 
* Модулярный умножитель со стандартным спец. базисом из трех модулей (''3 moduli set'') <math>(2^n-1, 2^n, 2^n+1)</math>
 
* Модулярный умножитель со стандартным спец. базисом из трех модулей (''3 moduli set'') <math>(2^n-1, 2^n, 2^n+1)</math>
 
* Модулярный умножитель с продвинутым спец. базисом из 4-х модулей (''3 moduli set'') <math>(2^n-1, 2^n+1, 2^{n+1}-1, 2^{n+1}+1)</math>
 
* Модулярный умножитель с продвинутым спец. базисом из 4-х модулей (''3 moduli set'') <math>(2^n-1, 2^n+1, 2^{n+1}-1, 2^{n+1}+1)</math>
 +
Синтез проводился в базисе 45 нм. в библиотеке NangateOpenCellLibrary.lib с помощью САПР Synopsys Design Compiler. Синтез проводился дважды для каждой из схем, на разных настройках "усилий" синтезатора - ''medium'' и ''ultra high effort''. Таким образом, также проверялась способность САПРа по минимизации задержек для различных схем. Таким образом, общее количество тестов выглядит следующим образом.
  
 
{| border="1" cellpadding="5" cellspacing="0"
 
{| border="1" cellpadding="5" cellspacing="0"
Строка 26: Строка 27:
 
|-
 
|-
 
|}
 
|}
Синтез проводился в базисе 45 нм. в библиотеке NangateOpenCellLibrary.lib с помощью САПР Synopsys Design Compiler. Синтез проводился дважды для каждой из схем, на разных настройках "усилий" синтезатора - ''medium'' и ''ultra high effort''. Таким образом, также проверялась способность САПРа по минимизации задержек для различных схем.
 
  
== Типовой Verilog-модуль ==
+
 
 +
== Анализ эффективности САПР в режиме ULTRA high effort  ==
  
  

Версия 14:06, 16 мая 2013

В рамках работы по разработке эффективных модулярных устройств было проведено исследование различных вариантов построения однотактовых двоичных и модулярных умножителей с входными операндами в диапазоне 3-64 бит. Такие устройства чрезвычайно важны в современной микроэлектронике. Каждый современный микропроцессор имеет такую операцию в составе своего набора инструкций, а продвинутые DSP процессоры содержат специальные вычислительные блоки для ускоренного вычисления [1]. Исследовались 4 варианта однотактовых умножителей:

  • Встроенный умножитель в САПР Synopsys Design Compiler.
  • Иерархический двоичный умножитель [1].
  • Модулярный умножитель со стандартным спец. базисом из трех модулей (3 moduli set) (2^n-1, 2^n, 2^n+1)
  • Модулярный умножитель с продвинутым спец. базисом из 4-х модулей (3 moduli set) (2^n-1, 2^n+1, 2^{n+1}-1, 2^{n+1}+1)

Синтез проводился в базисе 45 нм. в библиотеке NangateOpenCellLibrary.lib с помощью САПР Synopsys Design Compiler. Синтез проводился дважды для каждой из схем, на разных настройках "усилий" синтезатора - medium и ultra high effort. Таким образом, также проверялась способность САПРа по минимизации задержек для различных схем. Таким образом, общее количество тестов выглядит следующим образом.

Модулярный Двоичный
3 moduli set 4 moduli set Встроенный Иерархический
medium effort ultra effort medium effort ultra effort medium effort ultra effort medium effort ultra effort


Анализ эффективности САПР в режиме ULTRA high effort

[1] Vladimir V.Erokhin "Integer multiplication algorithms. Methodology and implementation results"


Персональные инструменты
Пространства имён

Варианты
Действия
Навигация